世界中の市場調査レポートを販売!当社に無いレポートもお探しします。

レポートナンバー 0000037326

半導体デバイスCMP技術の基礎から応用まで

株式会社情報機構

~研磨メカニズム、装置、材料、応用工程及び最新技術トレンドなど~

発刊日 2024/01/24

言語日本語

体裁B5/176ページ

ライセンス/価格176ページ

0000037326

書籍版 36,300 円(税込)

無料サンプル

  • サンプルはお問い合わせください。

ポイント

CMP装置・研磨ヘッドやCMPスラリー・パッド・ドレッサーなど、各装置の構成・方式やその長短所、部材の特徴・評価方法などを詳述!
近年明らかになってきたCMPによる平坦化・材料除去メカニズムの考察・各種モデルについて!
最近の半導体パッケージ技術へのCMPやCuCMP、シリコン・SiC他各種基板への適用等、様々な応用技術も網羅!

レポート概要

著者より:

 筆者がCMP に初めて出会ったのは1991 年のセミコンジャパンだった。

 そこから本格的にCMP に関わるようになり、社内でCMP の必要性を説いて回ったが、当時の常識では、神聖なデバイス面にパーティクルそのものであるスラリーを流して研磨パッドでこするというプロセスは到底受け入れられるものではなかった。

 その後 CMP の有効性が認められ、筆者自身もCMP 装置メーカー、CMP 材料メーカーと転職を重ねることになるのだが、CMP にデバイス側、装置側、材料側から関わった人間は希有ではないだろうか。

 本稿は、CMP の基礎から応用まで、そして平坦化メカニズムや材料除去メカニズムについて、そのような自らの知識と経験をまとめたものである。

 これからCMP の世界に入られる方の入門書として、すでにCMP に関わっている中堅の方の情報整理のためなど少しでもお役に立てれば幸いである。

レポート詳細

執筆者(敬称略)

(株)ISTL 代表取締役 博士(工学)  礒部 晶

*ご略歴:
  1984-2002 NECにてLSI多層配線プロセス開発、1991よりCMPの開発、量産化に従事
  2002-2006 東京精密(株)にて執行役員CMPグループリーダー
  2006-20013 ニッタハースにて研究開発GM
  2013-2015 (株)ディスコにて新規事業開発
  2014 九州大学より博士学位取得
  2015- (株)ISTL CMP関連を中心に技術開発、事業開発アドバイザー

目次

第1章 CMP 装置

1. CMP 装置の構成
 1.1 研磨方式のいろいろ
 1.2 枚葉研磨と複数枚研磨
 1.3 装置構成と生産性
 1.4 装置の全体構成

2. 研磨ヘッド
 2.1 研磨ヘッドの変遷①加圧方式
 2.2 研磨ヘッドの変遷②リテーナーリング
 2.3 研磨ヘッドの変遷③ゾーンコントロール
 2.4 エッジプロファイルの発生要因
 2.5 リテーナーリング材料とエッジプロファイル、マイクロスクラッチへの影響

3. APC と終点検出
 3.1 APC(Advanced Process Control)
 3.2 終点検出

4. 洗浄機
 4.1 洗浄機の構成
 4.2 ブラシ洗浄
 4.3 薬液洗浄
 4.4 乾燥
 CMP 四方山話① 様々なCMP 装置

第2章 CMPによる平坦化の種類と平坦化メカニズム

1. 平坦化の種類
 1.1 ウエハのCMP と平坦性
 1.2 グローバル平坦化プロセス
 1.3 ストッパープロセス(分離プロセス)

2. 平坦化のメカニズムと改善方法
 2.1 グローバル平坦化のメカニズムと改善方法
 2.2 ストッパープロセス
 CMP 四方山話② タモリとCMP(プラナリ研コラムより)

第3章 CMP の消耗材料

1. CMP スラリー
 1.1 砥粒の種類と特徴
 1.2 用途別スラリーの概要
 1.3 スラリーの評価方法

2. 研磨パッド
 2.1 研磨パッドの種類と製造方法
 2.2 研磨パッドの物性と研磨特性の関係
 2.3 研磨パッドのバルク物性とその評価方法
 2.4 研磨パッドの表面物性とその評価方法
 2.5 研磨パッドの溝形状と研磨特性への影響

3. パッドコンディショナー(ドレッサー)
 3.1 パッドコンディショナーの構成と役割
 3.2 研磨パッドコンディショイングのマクロモデル
 CMP 四方山話③ CMP 導入~日本は米国に遅れていたのか?

第4章 CMPの応用

1. ILDCMP
 1.1 配線の多層化と層間膜平坦化技術の変遷
 1.2 ILDCMP

2. STI
 2.1 LOCOS からSTI へ
 2.2 STI のプロセスフロー
 2.3 STICMP

3. CuCMP
 3.1 Al 配線からCu 配線へ
 3.2 Cu ダマシン
 3.3 CuCMP 工程
 3.4 新しい配線材料と配線形成方法

4. トランジスタ周りのCMP
 4.1 HKMG
 4.2 FinFET
 4.3 SAC 用ストッパー
 4.4 次世代トランジスタ
 4.5 BPR

5. マルチパターニングへのCMP 適用

6. パッケージ技術へのCMP 適用
 6.1 TSV
 6.2 シリコンインターポーザー
 6.3 2.1D、2.3D

7. ウエハ接合技術とCMP

8. 電子デバイス用各種基板とそのCMP
 8.1 シリコン
 8.2 SiC
 8.3 GaN
 8.4 サファイア
 8.5 ガラス
 8.6 その他の電子デバイス用基板
 CMP 四方山話④ 研磨、ポリッシング、MCP、CMP

第5章 CMPの材料除去メカニズム

1. 研磨メカニズム・モデルの歴史
 1.1 プレストンの法則
 1.2 Lee Cook のモデル
 1.3 Qin 等のモデル
 1.4 Luo、Choi 等のモデル
 1.5 Kimura のモデル
 1.6 材料除去モデルのまとめ

2. 新しいモデル~ Feret 径モデル
 2.1 フェレ径モデルの概要
 2.2 フェレ径モデルの妥当性確認実験
 2.3 研磨レートの圧力依存性に関する考察
 2.4 フェレ径モデルの数値検証
 2.5 フェレ径モデルに基づく開発のヒント
 2.6 フェレ径モデルに関連した研究
 CMP 四方山話⑤ 常識を疑う・非常識を受け入れる

この商品のレポートナンバー

0000037326

TOP